Micro-Fluidically Cooled 3D ICs

3D ICs hold the key to future improvements in computational performance. In the past few decades Moore’s Law has resulted in a spectacular exponential growth in performance, functionality and energy efficiency. In the recent years, the slowdown of Moore’s law has become a well observed trend leading the research community to investigate alternative technologies and devices that can allow us to continue improvements in performance, energy efficiency and functionality. 3D integrated circuit technology is generally considered to be a significant approach to addressing the slowing Moore’s law. High temperatures are a significant limitation of 3D ICs. Due to their limited cooling capability, relying on air cooling alone for cooling future 3D ICs could have catastrophic consequences. Firstly, in order to limit on-chip temperatures we may resort to aggressive shutdown or slowdown resulting in significant under-utilization of the available devices thereby hurting overall performance. Owing to greater power density, 3D ICs would experience dark silicon with even greater severity than 2D ICs. Secondly, the heat removal challenge could force us to limit the number of 3D layers causing the systems to use bandwidth and energy inefficient off-chip wires for communication. For enabling the performance and energy efficiency promised by 3D integration, the thermal challenge needs to be actively addressed.
We are investigating micro-fluidic cooling solutions to address the 3D IC thermal challenge. Our research targets development of co-design tools which enable us to design the electrical/computational aspects of the 3D IC with the cooling design. Such a co-design approach is essential for unlocking the true potential of microfluidic cooling. Conventional methods for incorporating cooling in modern computer systems follow a postfix based approach where decisions about the size and capacity of the cooling solution is decided after the entire electronic system has been designed. If applied to micro-fluidically cooled 3D ICs, such an approach could have significantly detrimental consequences to the overall performance and energy efficiency of the system. There are two reasons for this: overheads imposed by micro-fluidics based embedded cooling and missed opportunities for co-optimization of electrical and fluidic aspects of the 3D IC system. In our work we have investigated several co-design techniques which have helped develop 3D CPU design configurations with significantly higher performance and energy efficiency.

    

    

  1. Serafy, Z. Yang , A. Srivastava, Y. Hu and Y. Joshi, “Thermoelectric Codesign of 3-D CPUs and Embedded Microfluidic Pin-Fin Heatsinks”, IEEE Design & Test, vol. 33, no. 2, pp. 40-48, April 2016
  2. Serafy, A. Bar-Cohen, A. Srivastava and D. Yeung, “Unlocking the True Potential of 3-D CPUs With Microfluidic Cooling,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 24, no. 4, pp. 1515-1523, April 2016
  3. Yang and A. Srivastava. “Physical Co-Design for Micro-Fluidically Cooled 3D ICs,” IEEE Intersociety Conference on Thermal and Thermo-mechanical Phenomena in Electronic Systems (ITherm), May 2016.
  4. Yang, C. Serafy and A. Srivastava. “ECO Based Placement and Routing Frame-work for 3D FPGAs with Micro-Fluidic Cooling,” IEEE Field-Programmable Custom Computing Machines (FCCM), May 2016.
  5. Serafy, T. Lu and A. Srivastava. “Thermal-Reliability Physical Co-Optimization During Architectural Design Space Exploration of 3D-CPUs.”, Proceedings of the Government Microcircuit Applications and Critical Technology Conference (GOMACTech). 2016.
  6. Zhiyuan Yang, Ankur Srivastava, “Physical Design of 3D FPGAs Embedded with Micro-channel-based Fluidic Cooling,” In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016, (pp. 54-63).
  7. Zhiyuan Yang, Ankur Srivastava, “Co-Placement for Pin-Fin Based Micro-Fluidically Cooled 3D ICs.” In ASME InterPACK, June 2015.
  8. C. Serafy, A. Srivastava, A. Bar-Cohen and D. Yeung. “Design Space Exploration of 3D CPUs and Micro-Fluidic Heatsinks with Thermo-Electrical-Physical Co-Optimization.”, In Proceedings of the ASME International Technical Conference and Exhibition on Packaging and Integration of Electronic and Photonic Microsystems, 2015
  9. Caleb Serafy, Ankur Srivastava, Donald Yeung, “Unlocking the True Potential of 3D CPUs with Micro-Fluidic Cooling,” Proceedings of the IEEE International Symposium on Lower Power Electronics and Design (ISLPED), August 2014
  10. Caleb Serafy, Ankur Srivastava, Donald Yeung, “Continued Frequency Scaling in 3D ICs through Micro-Fluidic Cooling”, Proceedings of the IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm), May 2014
  11. C. Serafy, B. Shi, A. Srivastava and D. Yeung, “High Performance 3D Stacked DRAM Processor Architectures with Micro-Fluidic Cooling”, In Proceedings of the IEEE 3D System Integration Conference 2013 (3DIC).
  12. INVITED: Avram Bar-Cohen, Ankur Srivastava and Bing Shi, “Thermo-Electrical Co-Design of 3D ICs: Challenges and Opportunities”, Computational Thermal Sciences, Begell House Publishers, Vol5, Issue 6, 2013
  13. Bing Shi and Ankur Srivastava, “Micro-Fluidic Cooling for Stacked 3D-ICs: Fundamentals, Modeling and Design”, Advances in Computers / Edited by Ali Hurson, Vol. 88, Elsevier, 2013
  14. Bing Shi and Ankur Srivastava, “Optimized Micro-Channel Design for Stacked 3D ICs”, IEEE Transactions on Computer Aided Design 33(1):90-100 · December 2013
  15. Bing Shi, Ankur Srivastava and Avram Bar-Cohen, “Co-design of Micro-fluidic Heat Sink and Thermal TSV for Cooling of 3D-IC”, IET Circuits, Devices & Systems Journal, Volume 7, Issue 5, September 2013
  16. Bing Shi , Ankur Srivastava, Avram Bar-Cohen, “Hybrid 3D-IC Cooling System Using Micro-Fluidic Cooling and Thermal TSVs”, IEEE Computer Society Annual Symposium on VLSI (ISVLSI), August 2012
  17. Bing Shi, Ankur Srivastava, “TSV-Constrained Micro-channel Infrastructure Design for Cooling Stacked 3D-ICs”, International Symposium on Physical Design (ISPD), April 2012.
  18. Bing Shi, Ankur Srivastava, “Cooling of 3D-IC Using Non-Uniform Micro-channels and Sensor Based Dynamic Thermal Management”, invited paper, Forty-Ninth Annual Allerton Conference on Communication, Control, and Computing, Sept. 2011.
  19. Bing Shi , Ankur Srivastava, “Non-Uniform Micro-Channel Design for Stacked 3D-ICs”, Proceedings of IEEE/ACM Design Automation Conference (DAC), June 2011